Optimization of the CD Uniformity (CDU) in Silicon Oxide Spacer Process for 5 NM FIN SAQP Process Flow | IEEE Conference Publication | IEEE Xplore