2.5D X-Clock Tree Construction Based on Stacked-Layer Combination of Multivoltage Islands | IEEE Conference Publication | IEEE Xplore