Modeling and Simulation of a Grid-Tied 21.0 kWp Real Solar Power Plant as Case Study, Using the VHDL-AMS Language | IEEE Conference Publication | IEEE Xplore